Unterschiede

Hier werden die Unterschiede zwischen zwei Versionen angezeigt.

Link zu dieser Vergleichsansicht

Beide Seiten der vorigen RevisionVorhergehende Überarbeitung
Nächste Überarbeitung
Vorhergehende Überarbeitung
software:quartus_ii_web_edition:start [2012-10-15 13:54] zueger1software:quartus_ii_web_edition:start [2015-10-19 13:43] (aktuell) abajric
Zeile 1: Zeile 1:
 ====== Quartus II Web Edition ====== ====== Quartus II Web Edition ======
-Die IDE (Integrated Development Environment) für die Altera FPGA's heisst Quartus II und ist als Gratisversion auf der Altera Homepage erhältlich. +{{ :software:quartus_ii_web_edition:quartus_ii.jpg?200|}} 
 + 
 +Die IDE (Integrated Development Environment) für die \\ 
 +Altera FPGA's heisst Quartus II und ist auch als Gratisversion \\ 
 +auf der Altera Homepage erhältlich. \\ 
 + 
 +\\
 Die {{:software:quartus_ii_web_edition:einfuehrung_in_altera_quartus_ii.pdf|Einführung}} zeigt die wichtigsten Schritte mit der IDE.\\ Die {{:software:quartus_ii_web_edition:einfuehrung_in_altera_quartus_ii.pdf|Einführung}} zeigt die wichtigsten Schritte mit der IDE.\\
  
-Als Simulator verwenden wir Modelsim. Auch dazu gibt es ein {{:software:quartus_ii_web_edition:einfuehrung_in_modelsim_v0.7.pdf|Tutorial}}.+\\ 
 +Als Simulator verwenden wir [[Software:modelsim:start Modelsim]].
  
 <box blue 95% | 32-Bit Quartus unter 64-Bit Windows> <box blue 95% | 32-Bit Quartus unter 64-Bit Windows>
 **Achtung:** Bei der Installation von Quartus 12 (32-Bit-Version) unter Windows 7 64-Bit tritt ein Problem mit dem JTAG-Server auf. Die [[http://www.alteraforum.com/forum/showthread.php?t=36775 | Lösung für dieses Problem]] ist im Alteraforum beschrieben. **Achtung:** Bei der Installation von Quartus 12 (32-Bit-Version) unter Windows 7 64-Bit tritt ein Problem mit dem JTAG-Server auf. Die [[http://www.alteraforum.com/forum/showthread.php?t=36775 | Lösung für dieses Problem]] ist im Alteraforum beschrieben.
 </box> </box>
 +
 +\\
 +
 +===== Installation unter Linux =====
 +
 +Nach der Installation von Quartus muss folgendes gemacht werden, damit mit dem USB-Blaster programmiert werden kann:
 +
 +  * udev-Regeln für den USB-Blaster erstellen, indem die Datei **/etc/udev/rules.d/70-altera-usb-blaster.rules** mit folgendem Inhalt erstellt wird: <code>ATTR{idVendor}=="09fb", ATTR{idProduct}=="6001", GROUP="usbblaster"</code>
 +  * Die Gruppe **usbblaster** erstellen: <code>$ sudo addgroup usbblaster</code>
 +  * Den eigenen Benutzer (hier **ntbuser**) der Gruppe **usbblaster** hinzufügen: <code>$ sudo adduser ntbuser usbblaster</code>
 +  * Computer neustarten (ausloggen und einloggen reicht nicht).